S
soc
Guest
Ich möchte den VHDL-Code für die Hardware-Struktur zu kennen. Dank [/img]
Follow along with the video below to see how to install our site as a web app on your home screen.
Note: This feature may not be available in some browsers.
library IEEE; Verwendung ieee.std_logic_1164.all; Einheit FA ist Port (a: in std_logic; b: in std_logic; cin: in std_logic; cout: out std_logic; Summe: out std_logic); Ende FA; Architektur verhalten FA ist beginnen - verhalten Summe
library ieee; verwenden ieee.std_logic_1164.all; Verwendung ieee.std_logic_unsigned.all; Einheit counter_a ist Port (clk: in std_logic; rst_n: in std_logic; q: aus std_logic) ; Ende counter_a; Architektur counter_a verhalten ist Signal count, count_nx: std_logic_vector (3 downto 0); beginnen - verhalten q