R
ramzitligue
Guest
hallo, habe ich dieses Programm und ich habe eine Simulation auf ModelSim getan, aber es hat nicht funktioniert: library IEEE; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; USE ieee.std_logic_arith.all; USE ieee.std_logic_unsigned. alle; Einheit Antwort ist Port (Takt: in std_logic; datainn0: in std_logic; dataout0: out std_logic); Ende Reaktion; Architektur Reaktion der Antwort beginnen Prozess (Uhr) beginnen if (clock'event und clock = '1 ') then wenn datainn0 = '1 'dann dataout0