T
tetooo
Guest
hallo alle, ich habe diesen Code für einen 5-Bit-Binärzähler: LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; ENTITY Zähler PORT (count: OUT unsigned (4 downto 0); Last: IN std_logic; pre: IN unsigned (4 downto 0); Clk: in std_logic); END Zähler; ARCHITECTURE Behavioral des Zählers wird das Signal c: unsigned (4 downto 0): = "00000"; BEGIN count