Fehler: Node-Instanz "U1" instanziiert undefined

Y

YeeDeeAii

Guest
Dies ist der Abschnitt I wurde mit habe Probleme mit: library IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY NoteTabs IS PORT (clk: in std_logic; ToneIndex: OUT std_logic_vector (3 DOWNTO 0)); END; ARCHITECTURE einer NoteTabs IS COMPONENT MUSIC PORT (Adresse: IN std_logic_vector (7 downto 0); inclock: in std_logic; q: OUT std_logic_vector (3 DOWNTO 0)); End-Komponente; SIGNAL Counter: std_logic_vector (7 downto 0); BEGIN CNT8: PROCESS (clk, Counter) BEGIN IF Zähler = 138 THEN Zähler
 
Hallo, Wo ist die Musik Architektur Beschreibung? Yours, Said.
 
Ich verstehe nicht ganz? "Music" ist nur eine Komponente? Nur Unternehmen kann Port zugeordnet werden? Ich habe ein anderes Beispiel gesucht: library IEEE; verwenden IEEE.STD_LOGIC_1164.all; Einheit MUX2 ist Port (SEL, A, B: in std_logic; F: out std_logic); end; Architektur STRUKTUR des MUX2 ist Bestandteil INV-Anschluss (A: in std_logic; F: out std_logic); End-Komponente; Bestandteil AOI-Anschluss (A, B, C, D: in std_logic; F: out std_logic); End-Komponente; Signal SELB: std_logic; beginnen G1: INV-Port Karte (SEL, SELB); G2: AOI-Port Karte (SEL, A, SELB, B, F); end; Und das runns ok
 
Probleme gelöst! Aus irgendeinem Grund habe ich die Datei, die das Unternehmen definiert gelöscht, aber ich vergaß es. Trotzdem danke für die Hilfe!
 

Welcome to EDABoard.com

Sponsor

Back
Top