A
abhineet22
Guest
Nach Ausführen dieses Codes wird der Fehler schlecht synchrone Beschreibung .......... kann jemand mir helfen .... Bibliothek IEEE, die Nutzung IEEE.std_logic_1164.all, die Nutzung IEEE.std_logic_arith.all, die Nutzung IEEE.std_logic_unsigned.all; Einheit Akkumulator-Port (Daten: inout std_logic_vector (7 downto 0); rd_wr: in std_logic; - 0 = lesen, 1 = Schreibvorgang Uhr: in std_logic; Reset: std_logic); Ende Akkumulator; Architektur rtl der Akkumulator Signal temp_data_in: std_logic_vector (7 downto 0); Signal temp_data_out: std_logic_vector (7 downto 0); Komponente byte_register ist Port (Reset: in std_logic; Enable: in std_logic; Uhr: in std_logic; Datain: in std_logic_vector (7 downto 0); DataOut: out std_logic_vector (7 downto 0)); End-Komponente, beginnen nach: byte_register Port Karte (Reset, rd_wr, Uhr, temp_data_in , temp_data_out); Prozess (Clock, Reset) beginnen, wenn clock'event und clock = '1 'und reset = '0' dann, wenn rd_wr = '0 'dann Daten