J
jianhuachews
Guest
Hallo Leute .. Kann mir jemand helfen, das Problem sehen ..? Modelsim gab mir diese Fehlermeldung auf meinem Prüfstand ..
Während mein Programm-Code kompiliert werden kann ... Ich weiß nicht, was los ist! Programm# ** Error: C: / Users / Chew / Desktop / columncounter tb.vhd (20):. Signal "col_out" ist vom Typ ieee.std_logic_1164.STD_LOGIC_VECTOR; erwartet Typ ieee.NUMERIC_STD.UNSIGNED
Code:
library IEEE; verwenden IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; Einheit column_counter ist Port (col_out: std_logic_vector (3 downto 0); rst: in std_logic; clk: in std_logic); Ende column_counter; Architektur Behavioral von column_counter wird das Signal temp: std_logic_vector (3 downto 0); begin-Prozess (clk) begin if (rising_edge (clk)) then if (rst = '1 ') then Temp. '0', others => '1 '); sonst Temp (1)