T
toki_rnm
Guest
Die folgenden Fehler wurden gefunden .. plz help me korrigieren ERROR: Xst: 1534 - Sequentielle Logik für Knoten scheint durch mehrere Uhren gesteuert werden. ERROR: Xst: 739 - Failed to-Logik für das Signal zu synthetisieren. ERROR: Xst: 1431 - Failed to-Einheit zu synthetisieren. Der Code wird wie folgt library ieee; verwenden iee.std_logic_1164.all; verwenden ieee. std_logic_arith.all; Einheit clk_gnrtr ist Port (clk, reset: in std_logic; y, Ale, z: inout std_logic); Ende clk_gnrtr; Architektur Verhaltens von clk_gnrtr wird das Signal count: std_logic_vector (3 downto 0); Signal count1: std_logic_vector (3 downto 0); Signal count2: std_logic_vector (2 downto 0); Signal count3: std_logic_vector (6 downto 0); begin-Prozess (clk, reset) beginnen, wenn reset = '1 'then count