ERROR: Xst: 1534; 739; 1431 - Fehler gefunden

T

toki_rnm

Guest
Die folgenden Fehler wurden gefunden .. plz help me korrigieren ERROR: Xst: 1534 - Sequentielle Logik für Knoten scheint durch mehrere Uhren gesteuert werden. ERROR: Xst: 739 - Failed to-Logik für das Signal zu synthetisieren. ERROR: Xst: 1431 - Failed to-Einheit zu synthetisieren. Der Code wird wie folgt library ieee; verwenden iee.std_logic_1164.all; verwenden ieee. std_logic_arith.all; Einheit clk_gnrtr ist Port (clk, reset: in std_logic; y, Ale, z: inout std_logic); Ende clk_gnrtr; Architektur Verhaltens von clk_gnrtr wird das Signal count: std_logic_vector (3 downto 0); Signal count1: std_logic_vector (3 downto 0); Signal count2: std_logic_vector (2 downto 0); Signal count3: std_logic_vector (6 downto 0); begin-Prozess (clk, reset) beginnen, wenn reset = '1 'then count
 
[Quote = toki_rnm] Die folgenden Fehler wurden gefunden .. plz help me korrigieren ERROR: Xst: 1534 - Sequentielle Logik für Knoten scheint durch mehrere Uhren gesteuert werden. ERROR: Xst: 739 - Failed to-Logik für das Signal zu synthetisieren. ERROR: Xst: 1431 - Failed to-Einheit zu synthetisieren. Der Code wird wie folgt library ieee; verwenden iee.std_logic_1164.all; verwenden ieee. std_logic_arith.all; Einheit clk_gnrtr ist Port (clk, reset: in std_logic; y, Ale, z: inout std_logic); Ende clk_gnrtr; Architektur Verhaltens von clk_gnrtr wird das Signal count: std_logic_vector (3 downto 0); Signal count1: std_logic_vector (3 downto 0); Signal count2: std_logic_vector (2 downto 0); Signal count3: std_logic_vector (6 downto 0); begin-Prozess (clk, reset) beginnen, wenn reset = '1 'then count
 
Sie sind die Zuordnung count 1 unter der die Kanten der beiden Uhren. Ihr müssen sie unter 1 Taktflanke nur zuweisen. Um einen Hauch von dem, was ist ein synthetisierbaren Code von Ihrem Tool unterstützt. Sie sollten eine Synthese Handbuch, Vorlagen für die Code-Blöcke und deren Hardware-Pendants hat. Ihr Design ist wie eine Erinnerung vier zählen 1 mit zwei Uhren. - Amr Ali
 
Sie benötigen eine Synthesizer-Dokument, wie ein FF mit Enable-codiert ist zu überprüfen. ya, das ermöglichen soll nicht überprüft mithilfe einer Kante werden. Es sollte als eine Ebene überprüft werden. Wenn Sie es als eine Kante überprüfen müssen, werden Sie brauchen, und die Uhr und die ya einzigen in einem UND-Gatter, dann benutze ihn als die Uhr auf count1. - Amr
 
Wie gesagt in früheren Post plz beziehen sich auf Synthesizer docs ... Ich sehe, Sie sind mit Xilinx ISE. Es hat auch Sprache verfügbaren Vorlagen zum Nachschlagen in Bearbeiten-Menü geschrieben.
 
sollten Sie nicht verwenden eine Variable in zwei Blöcken, die in parallel erste zu vergessen die Software-Sprache, wenn Sie mit der Codierung in HDL als Syntax recht haben könnte, aber starten, wird es unbrauchbar Code, wie es sein wird nicht umsetzbar führt ... so denken, Hardware siehe verfügbar Schablonen versuchen zu denken, wie Ihr Code auf digitale Schaltung zu erreichen. und als Code einige einfachste Codes wie in den Schritten Addierer, 4-Bit-Addierer, Flip-Flops, Zähler, ... und starten Sie dann komplexe Designs .... hoffe, Sie haben, wie mit Werkzeugen und HDL gehen
 

Welcome to EDABoard.com

Sponsor

Back
Top